Virtual clock - goal and timing

precisely what is a virtual clock: By definition, a virtual clock is often a clock with none supply. Stating extra evidently, a virtual clock is actually a clock which has been defined, but has not been associated with any pin/port. A virtual clock is applied being a reference to constrain the interface pins by relating the arrivals at input/output ports with respect to it with all the assistance of input and output delays.

Let us impress you with our clock buffer divider groundbreaking innovation. Heisener is the leading technology integrated devices provider with global presence.

How you can outline a virtual clock: The simplest sdc command syntax to determine a virtual clock is as follows:create_clock -name VCLK -period ten .The above mentioned SDC command will determine a virtual clock “VCLK” with time period 10 ns.Goal of defining a virtual clock: The advantage of defining a digital clock is that we are able to specify sought after latency for virtual clock. As stated earlier mentioned, virtual clock is used to time interface paths. Determine one demonstrates a state of affairs in which it can help to determine a digital clock. Reg-A is flop inside block that is sending info by pORT outside the block.

Due to the fact, it is a synchronous signal, we are able to presume it for being captured by a flop (Reg-B) sitting exterior the block. Now, in the block, the trail to pORT is usually timed by specifying output hold off for this port with a clock synchronous to clock_in. We will specify a hold off with regard to clock_in alone, but there lies the problem of specifying the clock latency. If we specify the latency for clock_in, it'll be applied to Reg-A also. Applying output delay with regard to your authentic clock leads to input ports to get comfortable and output ports to get tightened following clock tree has become created. Allow us to elaborate it in some detail beneath. Let's suppose clock time period to generally be 10 ns and also the spending budget allotted to generally be 3 ns inside; thus, aquiring a "set_output_delay" of 7 ns.

相關文章:

OBLIGATION CYCLE OF CLOCK

Duty cycle of clock

Responsibility cycle of clock

Virtual clock - function and timing

Virtual clock - intent and timing



タグ :clock

同じカテゴリー(科技)の記事画像
液晶画面はどのように機能するのでしょうか?
モバイルサイトはどうデザインすべきか?SEO最適化の7つのヒント
PCBA回路基板のはんだ付けの一般的な問題
同じカテゴリー(科技)の記事
 液晶画面はどのように機能するのでしょうか? (2024-01-09 17:20)
 モバイルサイトはどうデザインすべきか?SEO最適化の7つのヒント (2023-11-10 17:29)
 PCBA回路基板のはんだ付けの一般的な問題 (2023-10-24 16:32)
 網絡家電未來的方向 (2020-07-29 16:38)
 按雲計算的服務模式 (2020-07-07 16:11)
 What's the important job of L 293D? (2020-05-27 16:48)

Posted by jadelung at 2020年05月12日18:51 │科技
Comments(0)
上の画像に書かれている文字を入力して下さい
 
<ご注意>
書き込まれた内容は公開され、ブログの持ち主だけが削除できます。


QRコード
QRCODE
トップ画面
アクセスカウンタ
読者登録
メールアドレスを入力して登録する事で、このブログの新着エントリーをメールでお届けいたします。解除は→こちら
現在の読者数 4人
プロフィール
jadelung
オーナーへメッセージ